圣光之血 发表于 2024-4-8 16:55:00

如何根据verligoHDL代码画波形图

rt,实在找不到答案

,百度上搜也搜不到


PCBQA 发表于 2024-4-8 16:55:43

你可以使用EDA工具(例如ModelSim、Xilinx Vivado等)来根据Verilog HDL代码生成波形图。首先,将Verilog HDL代码编译为仿真模型,然后利用仿真模型运行波形仿真。在仿真期间,EDA工具将根据输入信号和时钟信号模拟电路行为,并输出波形图形。这样,你就可以可视化电路波形,以便分析和调试。

PCBQA 发表于 2024-4-8 16:55:57

初始状态a,b为0,10ns后a拉高,再10ns后b拉高,再10ns 后a拉低,然后保持

阿里电子 发表于 2024-4-8 16:56:32

这不是最简单的异或门吗。。。。输入信号给了,画输出信号的波形有点水啊

PCBQA 发表于 2024-4-8 16:57:01

这样?

页: [1]
查看完整版本: 如何根据verligoHDL代码画波形图